Calculated based on number of publications stored in Pure and citations from Scopus
1984 …2023

Research activity per year

If you made any changes in Pure these will be visible here soon.
Filter
Conference contribution

Search results

  • 2007

    Energy comparison and optimization of wireless body-area network technologies

    Yan, L., Zhong, L. & Jha, N. K., 2007, BODYNETS 2007 - 2nd International ICST Conference on Body Area Networks. Fantacci, R. (ed.). ICST, 8. (BODYNETS 2007 - 2nd International ICST Conference on Body Area Networks).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    37 Scopus citations
  • Express virtual channels: Towards the ideal interconnection fabric

    Kumar, A., Peh, L. S., Kundu, P. & Jha, N. K., 2007, ISCA'07: 34th Annual International Symposium on Computer Architecture, Conference Proceedings. p. 150-161 12 p. (Proceedings - International Symposium on Computer Architecture).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    294 Scopus citations
  • Fast enhancement of validation test sets to improve stuck-at fault coverage for RTL circuits

    Lingappan, L., Gangaram, V., Jha, N. K. & Chakravarty, S., Dec 1 2007, Proceedings - 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems. p. 504-509 6 p. 4092093. (Proceedings of the IEEE International Conference on VLSI Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    1 Scopus citations
  • NanoMap: An integrated design optimization flow for a hybrid nanotube/CMOS dynamically reconfigurable architecture

    Zhang, W., Shang, L. & Jha, N. K., Aug 2 2007, 2007 44th ACM/IEEE Design Automation Conference, DAC'07. p. 300-305 6 p. 4261195. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    13 Scopus citations
  • 2006

    Active learning driven data acquisition for sensor networks

    Muttreja, A., Raghunathan, A., Ravi, S. & Jha, N. K., 2006, Proceedings - 11th IEEE Symposium on Computers and Communications, ISCC 2006. Institute of Electrical and Electronics Engineers Inc., p. 929-934 6 p. 1691142. (Proceedings - IEEE Symposium on Computers and Communications).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    3 Scopus citations
  • Architectural support for safe software execution on embedded processors

    Arora, D., Raghunathan, A., Ravi, S. & Jha, N. K., 2006, CODES+ISSS 2006: 4th International Conference on Hardware Software Codesign and System Synthesis. p. 106-111 6 p. (CODES+ISSS 2006: Proceedings of the 4th International Conference on Hardware Software Codesign and System Synthesis).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    18 Scopus citations
  • HybDTM: A coordinated hardware-software approach for dynamic thermal management

    Kumar, A., Shang, L., Peh, L. S. & Jha, N. K., 2006, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06. p. 548-553 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    110 Scopus citations
  • Hybrid custom instruction and co-processor synthesis methodology for extensible processors

    Sun, F., Ravi, S., Raghunathan, A. & Jha, N. K., 2006, Proceedings - 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design. p. 473-476 4 p. 1581496. (Proceedings of the IEEE International Conference on VLSI Design; vol. 2006).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Impact of configurability and extensibility on IPSec protocol execution on embedded processors

    Potlapally, N. R., Ravi, S., Raghunalhan, A., Lee, R. B. & Jha, N. K., 2006, Proceedings - 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design. p. 299-304 6 p. 1581468. (Proceedings of the IEEE International Conference on VLSI Design; vol. 2006).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    7 Scopus citations
  • Improving the performance of automatic sequential test generation by targeting hard-to-test faults

    Lingappan, L. & Jha, N. K., Sep 18 2006, Proceedings - 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design. p. 431-436 6 p. 1581489. (Proceedings of the IEEE International Conference on VLSI Design; vol. 2006).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    2 Scopus citations
  • NATURE: A hybrid nanotube/CMOS dynamically reconfigurable architecture

    Zhang, W., Jha, N. K. & Shang, L., Dec 1 2006, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06. p. 711-716 6 p.

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    30 Scopus citations
  • Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC

    Arora, D., Raghunathan, A., Ravi, S., Sankaradass, M., Jha, N. K. & Chakradhar, S. T., 2006, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06. p. 496-501 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    2 Scopus citations
  • State encoding of finite-state machines targeting threshold and majority logic based implementations with application to nanotechnologies

    Zhang, R. & Jha, N. K., Sep 18 2006, Proceedings - 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design. p. 317-322 6 p. 1581471. (Proceedings of the IEEE International Conference on VLSI Design; vol. 2006).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    1 Scopus citations
  • Test generation for combinational Quantum Cellular Automata (QCA) circuits

    Gupta, P., Jha, N. K. & Lingappan, L., Jan 1 2006, Proceedings - Design, Automation and Test in Europe, DATE'06. Institute of Electrical and Electronics Engineers Inc., 1656897. (Proceedings -Design, Automation and Test in Europe, DATE; vol. 1).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    14 Scopus citations
  • Threshold/majority logic synthesis and concurrent error detection targeting nanoelectronic implementations

    Zhang, R. & Jha, N. K., 2006, GLSVLSI'06 - Proceedings of the 2006 ACM Great Lakes Symposium on VLSI. Association for Computing Machinery, p. 8-13 6 p. (Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI; vol. 2006).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    3 Scopus citations
  • 2005

    ALLCN: An Automatic Logic-to-Layout Tool for Carbon Nanotube Based Nanotechnology

    Zhang, W. & Jha, N. K., Dec 1 2005, Proceedings - 2005 IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD 2005. p. 281-288 8 p. 1524166. (Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    6 Scopus citations
  • A personal-area network of low-power wireless interfacing devices for handhelds: System and hardware design

    Zhong, L., Sinclair, M. & Jha, N. K., 2005, MobileHCI 05 - Proceedings of the 7th International Conference on Human Computer Interaction with Mobile Devices and Services. p. 251-254 4 p. (ACM International Conference Proceeding Series; vol. 111).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Eliminating memory bottlenecks for a JPEG encoder through distributed logic-memory architecture and computation-unit integrated memory

    Huang, C., Ravi, S., Raghunathan, A. & Jha, N. K., 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference. Institute of Electrical and Electronics Engineers Inc., p. 239-242 4 p. 1568651. (Proceedings of the Custom Integrated Circuits Conference; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    3 Scopus citations
  • Energy efficiency of handheld computer interfaces: Limits, characterization and practice

    Zhong, L. & Jha, N. K., 2005, Proceedings of the 3rd International Conference on Mobile Systems, Applications, and Services, MobiSys 2005. p. 247-260 14 p. (Proceedings of the 3rd International Conference on Mobile Systems, Applications, and Services, MobiSys 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    45 Scopus citations
  • Enhancing security through hardware-assisted run-time validation of program data properties

    Arora, D., Raghunathan, A., Ravi, S. & Jha, N. K., 2005, CODES+ISSS 2005 - International Conference on Hardware/Software Codesign and Systems Synthesis. Association for Computing Machinery, p. 190-195 6 p. (CODES+ISSS 2005 - International Conference on Hardware/Software Codesign and System Synthesis).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    2 Scopus citations
  • Nanotechnology in the service of embedded and ubiquitous computing

    Jha, N. K., Dec 1 2005, Embedded and Ubiquitous Computing - International Conference EUC 2005, Proceedings. 1 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 3824 LNCS).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Secure embedded processing through hardware-assisted run-time monitoring

    Arora, D., Ravi, S., Raghunathan, A. & Jha, N. K., 2005, Proceedings - Design, Automation and Test in Europe, DATE '05. p. 178-183 6 p. 1395552. (Proceedings -Design, Automation and Test in Europe, DATE '05; vol. I).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    50 Scopus citations
  • Synthesis of application-specific heterogeneous multiprocessor architectures using extensible processors

    Sun, F., Ravi, S., Raghunathan, A. & Jha, N. K., 2005, Proceedings of the 18th International Conference on VLSI Design. p. 551-556 6 p. (Proceedings of the IEEE International Conference on VLSI Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    10 Scopus citations
  • Towards a responsive, yet power-efficient, operating system: A holistic approach

    Yan, L., Zhong, L. & Jha, N. K., Dec 1 2005, MASCOTS 2005: 13th IEEE International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunications Systems. p. 249-257 9 p. 1521139. (Proceedings - IEEE Computer Society's Annual International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems, MASCOTS; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    1 Scopus citations
  • Unsatisfiability based efficient design for testability solution for register-transfer level circuits

    Lingappan, L. & Jha, N. K., Dec 1 2005, Proceedings - 23rd IEEE VLSI Test Symposium, VTS 2005. p. 418-423 6 p. 1443459. (Proceedings of the IEEE VLSI Test Symposium).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    8 Scopus citations
  • 2004

    An algorithm for nano-pipelining of circuits and architectures for a nanotechnology

    Gupta, P. & Jha, N. K., Jul 12 2004, Proceedings - Design, Automation and Test in Europe Conference and Exhibition, DATE 04. Gielen, G. & Figueras, J. (eds.). p. 974-979 6 p. (Proceedings - Design, Automation and Test in Europe Conference and Exhibition; vol. 2).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    6 Scopus citations
  • An automatic test pattern generation framework for combinational threshold logic networks

    Gupta, P., Zhang, R. & Jha, N. K., 2004, Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD 2004. p. 540-543 4 p. (Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    11 Scopus citations
  • Embedded and ubiquitous computing: International conference EUC 2004 Aizu-Wakamatsu City, Japan, august 25-27, 2004 proceedings

    Yang, L. T., Guo, M., Gao, G. R. & Jha, N. K., Jan 1 2004, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). Jha, N. K., Guo, M., Yang, L. T. & Gao, G. R. (eds.). Springer Verlag, (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 3207).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Evaluating conditional statements in embedded system software: Systematic methodologies for reducing energy consumption

    Vallerio, K. S. & Jha, N. K., Dec 1 2004, Proceedings of the International Conference on Embedded Systems and Applications ESA'04 - Proceedings of the INternational Conference on VLSI, VLSI'04. Arabnia, H. R., Guo, M. & Yang, L. T. (eds.). p. 63-69 7 p. (Proceedings of the International Conference on Embedded Systems and Applications ESA'04 - Proceedings of the International Conference on VLSI, VLSI'04).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • High-level synthesis using computation-unit integrated memories

    Huang, C., Ravi, S., Raghunathan, A. & Jha, N. K., 2004, ICCAD-2004 - IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers. p. 783-790 8 p. 10A.2. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    1 Scopus citations
  • Language selection for mobile systems: Java, C, or both?

    Vallerio, K. S. & Jha, N. K., Dec 1 2004, Proceedings of the International Conference on Embedded Systems and Applications ESA'04 - Proceedings of the INternational Conference on VLSI, VLSI'04. Arabnia, H. R., Guo, M. & Yang, L. T. (eds.). p. 185-191 7 p.

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    1 Scopus citations
  • Synthesis and optimization of threshold logic networks with application to nanotechnologies

    Zhang, R., Gupta, P., Zhong, L. & Jha, N. K., Jul 12 2004, Proceedings - Design, Automation and Test in Europe Conference and Exhibition, DATE 04. Gielen, G. & Figueras, J. (eds.). p. 904-909 6 p. (Proceedings - Design, Automation and Test in Europe Conference and Exhibition; vol. 2).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    38 Scopus citations
  • Synthesis of reversible logic

    Agrawal, A. & Jha, N. K., 2004, Proceedings - Design, Automation and Test in Europe Conference and Exhibition, DATE 04. Gielen, G. & Figueras, J. (eds.). p. 1384-1385 2 p. (Proceedings - Design, Automation and Test in Europe Conference and Exhibition; vol. 2).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    55 Scopus citations
  • 2003

    Analyzing the energy consumption of security protocols

    Potlapally, N. R., Ravi, S., Raghunathan, A. & Jha, N. K., 2003, ISLPED 2003 - Proceedings of the 2003 International Symposium on Low Power Electronics and Design. Institute of Electrical and Electronics Engineers Inc., p. 30-35 6 p. 1231830. (Proceedings of the International Symposium on Low Power Electronics and Design; vol. 2003-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    27 Scopus citations
  • Dynamic voltage scaling with links for power optimization of interconnection networks

    Shang, L., Peh, L. S. & Jha, N. K., Jan 1 2003, Proceedings - 9th International Symposium on High-Performance Computer Architecture, HPCA 2003. IEEE Computer Society, p. 91-102 12 p. 1183527. (Proceedings - International Symposium on High-Performance Computer Architecture; vol. 12).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    316 Scopus citations
  • Graphical user interface energy characterization for handheld computers

    Zhong, L. & Jha, N. K., 2003, CASES 2003: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems. Association for Computing Machinery, p. 232-242 11 p. (CASES 2003: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    13 Scopus citations
  • High-level synthesis of multi-process behavioral descriptions

    Wang, W., Raghunathan, A., Jha, N. K. & Dey, S., 2003, Proceedings - 16th International Conference on VLSI Design, VLSI 2003 - concurrently with the 2nd International Conference on Embedded Systems Design. IEEE Computer Society, p. 467-473 7 p. 1183178. (Proceedings of the IEEE International Conference on VLSI Design; vol. 2003-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    5 Scopus citations
  • Power-profile driven variable voltage scaling for heterogeneous distributed real-time embedded systems

    Luo, J. & Jha, N. K., Jan 1 2003, Proceedings - 16th International Conference on VLSI Design, VLSI 2003 - concurrently with the 2nd International Conference on Embedded Systems Design. IEEE Computer Society, p. 369-375 7 p. 1183164. (Proceedings of the IEEE International Conference on VLSI Design; vol. 2003-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    11 Scopus citations
  • Task graph extraction for embedded system synthesis

    Vallerio, K. S. & Jha, N. K., 2003, Proceedings - 16th International Conference on VLSI Design, VLSI 2003 - concurrently with the 2nd International Conference on Embedded Systems Design. IEEE Computer Society, p. 480-486 7 p. 1183180. (Proceedings of the IEEE International Conference on VLSI Design; vol. 2003-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    47 Scopus citations
  • 2002

    Functional partitioning for low power distributed systems of systems-on-a-chip

    Fei, Y. & Jha, N. K., 2002, Proceedings - 7th Asia and South Pacific Design Automation Conference, 15th International Conference on VLSI Design, ASP-DAC/VLSI Design 2002. Institute of Electrical and Electronics Engineers Inc., p. 274-281 8 p. 994934. (Proceedings - 7th Asia and South Pacific Design Automation Conference, 15th International Conference on VLSI Design, ASP-DAC/VLSI Design 2002).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    3 Scopus citations
  • Hardware-software co-synthesis of low power real-time distributed embedded systems with dynamically reconfigurable FPGAs

    Shang, L. & Jha, N. K., 2002, Proceedings - 7th Asia and South Pacific Design Automation Conference, 15th International Conference on VLSI Design, ASP-DAC/VLSI Design 2002. Institute of Electrical and Electronics Engineers Inc., p. 345-352 8 p. 994946. (Proceedings - 7th Asia and South Pacific Design Automation Conference, 15th International Conference on VLSI Design, ASP-DAC/VLSI Design 2002).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    40 Scopus citations
  • Input space adaptive embedded software synthesis

    Wang, W., Raghunathan, A., Lakshminarayana, G. & Jha, N. K., 2002, Proceedings - 7th Asia and South Pacific Design Automation Conference, 15th International Conference on VLSI Design, ASP-DAC/VLSI Design 2002. Institute of Electrical and Electronics Engineers Inc., p. 711-718 8 p. 995018. (Proceedings - 7th Asia and South Pacific Design Automation Conference, 15th International Conference on VLSI Design, ASP-DAC/VLSI Design 2002).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Low power distributed embedded systems: Dynamic voltage scaling and synthesis

    Luo, J. & Jha, N. K., 2002, High Performance Computing - HiPC 2002 - 9th International Conference, Proceedings. Sahni, S., Prasanna, V. K. & Shukla, U. (eds.). Springer Verlag, p. 679-693 15 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 2552).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    5 Scopus citations
  • Static and dynamic variable voltage scheduling algorithms for real-time heterogeneous distributed embedded systems

    Luo, J. & Jha, N., 2002, Proceedings - 7th Asia and South Pacific Design Automation Conference, 15th International Conference on VLSI Design, ASP-DAC/VLSI Design 2002. Institute of Electrical and Electronics Engineers Inc., p. 719-726 8 p. 995019. (Proceedings - 7th Asia and South Pacific Design Automation Conference, 15th International Conference on VLSI Design, ASP-DAC/VLSI Design 2002).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    19 Scopus citations
  • 2000

    Clock selection for performance optimization of control-flow intensive behaviors

    Khouri, K. S. & Jha, N. K., Jan 1 2000, Proceedings of the IEEE International Conference on VLSI Design. IEEE, p. 523-529 7 p.

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Leakage power analysis and reduction during behavioral synthesis

    Khouri, K. S. & Jha, N. K., Jan 1 2000, Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors. IEEE, p. 561-564 4 p.

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    12 Scopus citations
  • Power-conscious joint scheduling of periodic task graphs and aperiodic tasks distributed real-time embedded systems

    Luo, J. & Jha, N. K., 2000, IEEE/ACM International Conference on Computer Aided Design: A Conference for the EE CAD Professional, ICCAD 2000. Institute of Electrical and Electronics Engineers Inc., p. 357-364 8 p. 896498. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2000-January).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    127 Scopus citations
  • 1999

    TAO-BIST: a framework for testability analysis and optimization of RTL circuits for BIST

    Ravi, S., Jha, N. K. & Lakshminarayana, G., 1999, Proceedings of the IEEE VLSI Test Symposium. IEEE, p. 398-406 9 p. (Proceedings of the IEEE VLSI Test Symposium).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    6 Scopus citations
  • 1998

    A BIST scheme for RTL controller-data paths based on symbolic testability analysis

    Ghosh, I., Jha, N. K. & Bhawmik, S., 1998, Proceedings 1998 - Design and Automation Conference, DAC 1998. Institute of Electrical and Electronics Engineers Inc., p. 554-559 6 p. 724533. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    1 Scopus citations
  • A fast and low cost testing technique for core-based system-on-chip

    Ghosh, I., Dey, S. & Jha, N. K., 1998, Proceedings 1998 - Design and Automation Conference, DAC 1998. Institute of Electrical and Electronics Engineers Inc., p. 542-547 6 p. 724531. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access