Calculated based on number of publications stored in Pure and citations from Scopus
20022023

Research activity per year

If you made any changes in Pure these will be visible here soon.
Filter
Conference contribution

Search results

  • 2023

    Dalorex: A Data-Local Program Execution and Architecture for Memory-bound Applications

    Orenes-Vera, M., Tureci, E., Wentzlaff, D. & Martonosi, M., 2023, 2023 IEEE International Symposium on High-Performance Computer Architecture, HPCA 2023 - Proceedings. IEEE Computer Society, p. 718-730 13 p. (Proceedings - International Symposium on High-Performance Computer Architecture; vol. 2023-February).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Duet: Creating Harmony between Processors and Embedded FPGAs

    Li, A., Ning, A. & Wentzlaff, D., 2023, 2023 IEEE International Symposium on High-Performance Computer Architecture, HPCA 2023 - Proceedings. IEEE Computer Society, p. 745-758 14 p. (Proceedings - International Symposium on High-Performance Computer Architecture; vol. 2023-February).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • SMAPPIC: Scalable Multi-FPGA Architecture Prototype Platform in the Cloud

    Chirkov, G. & Wentzlaff, D., Jan 27 2023, ASPLOS 2023 - Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems. Aamodt, T. M., Jerger, N. E. & Swift, M. (eds.). Association for Computing Machinery, p. 733-746 14 p. (International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS; vol. 2).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • 2022

    Class-Discriminative CNN Compression

    Liu, Y., Wentzlaff, D. & Kung, S-Y., 2022, 2022 26th International Conference on Pattern Recognition, ICPR 2022. Institute of Electrical and Electronics Engineers Inc., p. 2070-2077 8 p. (Proceedings - International Conference on Pattern Recognition; vol. 2022-August).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Evolving transferable neural pruning functions

    Liu, Y., Kung, S-Y. & Wentzlaff, D., Jul 8 2022, GECCO 2022 - Proceedings of the 2022 Genetic and Evolutionary Computation Conference. Association for Computing Machinery, Inc, p. 385-394 10 p. (GECCO 2022 - Proceedings of the 2022 Genetic and Evolutionary Computation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    1 Scopus citations
  • FracDRAM: Fractional Values in Off-the-Shelf DRAM

    Gao, F., Tziantzioulis, G. & Wentzlaff, D., 2022, Proceedings - 2022 55th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2022. IEEE Computer Society, p. 885-899 15 p. (Proceedings of the Annual International Symposium on Microarchitecture, MICRO; vol. 2022-October).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    1 Scopus citations
  • Tiny but Mighty: Designing and Realizing Scalable Latency Tolerance for Manycore SoCs

    Orenes-Vera, M., Manocha, A., Balkind, J., Gao, F., Aragón, J. L., Wentzlaff, D. & Martonosi, M. R., Jun 18 2022, ISCA 2022 - Proceedings of the 49th Annual International Symposium on Computer Architecture. Institute of Electrical and Electronics Engineers Inc., p. 817-830 14 p. (Proceedings - International Symposium on Computer Architecture).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    4 Scopus citations
  • 2021

    AutoSVA: Democratizing Formal Verification of RTL Module Interactions

    Orenes-Vera, M., Manocha, A., Wentzlaff, D. & Martonosi, M. R., Dec 5 2021, 2021 58th ACM/IEEE Design Automation Conference, DAC 2021. Institute of Electrical and Electronics Engineers Inc., p. 535-540 6 p. (Proceedings - Design Automation Conference; vol. 2021-December).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    2 Scopus citations
  • PRGA: An open-source fpga research and prototyping framework

    Li, A. & Wentzlaff, D., Feb 17 2021, FPGA 2021 - 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. Association for Computing Machinery, Inc, p. 127-137 11 p. (FPGA 2021 - 2021 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    8 Scopus citations
  • 2020

    Automated Design of FPGAs Facilitated by Cycle-Free Routing

    Li, A., Chang, T. J. & Wentzlaff, D., Aug 2020, Proceedings - 30th International Conference on Field-Programmable Logic and Applications, FPL 2020. Mentens, N., Mentens, N., Sousa, L., Trancoso, P., Pericas, M. & Sourdis, I. (eds.). Institute of Electrical and Electronics Engineers Inc., p. 208-213 6 p. 9221519. (Proceedings - 30th International Conference on Field-Programmable Logic and Applications, FPL 2020).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    3 Scopus citations
  • BYOC: A "bring your own core" framework for heterogeneous-ISA research

    Balkind, J., Lim, K., Schaffner, M., Gao, F., Chirkov, G., Li, A., Lavrov, A., Nguyen, T. M., Fu, Y., Zaruba, F., Gulati, K., Benini, L. & Wentzlaff, D., Mar 9 2020, ASPLOS 2020 - 25th International Conference on Architectural Support for Programming Languages and Operating Systems. Association for Computing Machinery, p. 699-714 16 p. (International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    16 Scopus citations
  • Enabling programmable transport protocols in high-speed NICs

    Arashloo, M. T., Lavrov, A., Ghobadi, M., Rexford, J., Walker, D. & Wentzlaff, D., 2020, Proceedings of the 17th USENIX Symposium on Networked Systems Design and Implementation, NSDI 2020. USENIX Association, p. 93-109 17 p. (Proceedings of the 17th USENIX Symposium on Networked Systems Design and Implementation, NSDI 2020).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    37 Scopus citations
  • HyperTRIO: Hyper-Tenant Translation of I/O Addresses

    Lavrov, A. & Wentzlaff, D., May 2020, Proceedings - 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture, ISCA 2020. Institute of Electrical and Electronics Engineers Inc., p. 487-500 14 p. 9138993. (Proceedings - International Symposium on Computer Architecture; vol. 2020-May).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    3 Scopus citations
  • Organic-Flow: An Open-Source Organic Standard Cell Library and Process Development Kit

    Chang, T. J., Yao, Z., Rand, B. P. & Wentzlaff, D., Mar 2020, Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020. Di Natale, G., Bolchini, C. & Vatajelu, E-I. (eds.). Institute of Electrical and Electronics Engineers Inc., p. 49-54 6 p. 9116540. (Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    2 Scopus citations
  • 2019

    Architectural implications of function-as-a-service computing

    Shahrad, M., Balkind, J. & Wentzlaff, D., Oct 12 2019, MICRO 2019 - 52nd Annual IEEE/ACM International Symposium on Microarchitecture, Proceedings. IEEE Computer Society, p. 1063-1075 13 p. (Proceedings of the Annual International Symposium on Microarchitecture, MICRO).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    88 Scopus citations
  • Burstable Instances for Clouds: Performance Modeling, Equilibrium Analysis, and Revenue Maximization

    Jiang, Y., Shahrad, M., Wentzlaff, D., Tsang, D. H. K. & Joe-Wong, C., Apr 2019, INFOCOM 2019 - IEEE Conference on Computer Communications. Institute of Electrical and Electronics Engineers Inc., p. 1576-1584 9 p. 8737634. (Proceedings - IEEE INFOCOM; vol. 2019-April).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    10 Scopus citations
  • ComputeDRAM: In-memory compute using off-the-shelf DRAMs

    Gao, F., Tziantzioulis, G. & Wentzlaff, D., Oct 12 2019, MICRO 2019 - 52nd Annual IEEE/ACM International Symposium on Microarchitecture, Proceedings. IEEE Computer Society, p. 100-113 14 p. (Proceedings of the Annual International Symposium on Microarchitecture, MICRO).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    69 Scopus citations
  • The accelerator wall: Limits of chip specialization

    Fuchs, A. & Wentzlaff, D., Mar 26 2019, Proceedings - 25th IEEE International Symposium on High Performance Computer Architecture, HPCA 2019. Institute of Electrical and Electronics Engineers Inc., p. 1-14 14 p. 8675237. (Proceedings - 25th IEEE International Symposium on High Performance Computer Architecture, HPCA 2019).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    26 Scopus citations
  • 2018

    Acoustic denial of service attacks on hard disk drives

    Shahrad, M., Mosenia, A., Song, L., Chiang, M., Wentzlaff, D. & Mittal, P., Oct 15 2018, ASHES 2018 - Proceedings of the 2018 Workshop on Attacks and Solutions in Hardware Security, co-located with CCS 2018. Association for Computing Machinery, p. 34-39 6 p. (Proceedings of the ACM Conference on Computer and Communications Security).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    7 Scopus citations
  • CABLE: A cache-based link encoder for bandwidth-starved manycores

    Nguyen, T., Fuchs, A. & Wentzlaff, D., Dec 12 2018, Proceedings - 51st Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2018. IEEE Computer Society, p. 312-325 14 p. 8574550. (Proceedings of the Annual International Symposium on Microarchitecture, MICRO; vol. 2018-October).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    3 Scopus citations
  • PiCL: A software-Transparent, persistent cache log for nonvolatile main memory

    Nguyen, T. & Wentzlaff, D., Dec 12 2018, Proceedings - 51st Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2018. IEEE Computer Society, p. 507-519 13 p. 8574565. (Proceedings of the Annual International Symposium on Microarchitecture, MICRO; vol. 2018-October).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    31 Scopus citations
  • Power and Energy Characterization of an Open Source 25-Core Manycore Processor

    McKeown, M., Lavrov, A., Shahrad, M., Jackson, P. J., Fu, Y., Balkind, J., Nguyen, T. M., Lim, K., Zhou, Y. & Wentzlaff, D., Mar 27 2018, Proceedings - 24th IEEE International Symposium on High Performance Computer Architecture, HPCA 2018. IEEE Computer Society, p. 762-775 14 p. (Proceedings - International Symposium on High-Performance Computer Architecture; vol. 2018-February).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    23 Scopus citations
  • Scaling datacenter accelerators with compute-Reuse architectures

    Fuchs, A. & Wentzlaff, D., Jul 19 2018, Proceedings - 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture, ISCA 2018. Institute of Electrical and Electronics Engineers Inc., p. 353-366 14 p. 8416840. (Proceedings - International Symposium on Computer Architecture).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    13 Scopus citations
  • 2017

    Architectural tradeoffs for biodegradable computing

    Chang, T. J., Yao, Z., Jackson, P. J., Rand, B. P. & Wentzlaff, D., Oct 14 2017, MICRO 2017 - 50th Annual IEEE/ACM International Symposium on Microarchitecture Proceedings. IEEE Computer Society, p. 706-717 12 p. (Proceedings of the Annual International Symposium on Microarchitecture, MICRO; vol. Part F131207).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    7 Scopus citations
  • Camouflage: Memory Traffic Shaping to Mitigate Timing Attacks

    Zhou, Y., Wagh, S., Mittal, P. & Wentzlaff, D., May 5 2017, Proceedings - 2017 IEEE 23rd Symposium on High Performance Computer Architecture, HPCA 2017. IEEE Computer Society, p. 337-348 12 p. 7920837. (Proceedings - International Symposium on High-Performance Computer Architecture).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    17 Scopus citations
  • Incentivizing self-capping to increase cloud utilization

    Shahrad, M., Klein, C., Zheng, L., Chiang, M., Elmroth, E. & Wentzlaff, D., Sep 24 2017, SoCC 2017 - Proceedings of the 2017 Symposium on Cloud Computing. Association for Computing Machinery, Inc, p. 52-65 14 p. (SoCC 2017 - Proceedings of the 2017 Symposium on Cloud Computing).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    14 Scopus citations
  • Piton: A 25-core academic manycore research processor

    McKeown, M., Fu, Y., Nguyen, T., Zhou, Y., Balkind, J., Lavrov, A., Shahrad, M., Payne, S. & Wentzlaff, D., May 30 2017, 2016 IEEE Hot Chips 28 Symposium, HCS 2016. Institute of Electrical and Electronics Engineers Inc., 7936217. (2016 IEEE Hot Chips 28 Symposium, HCS 2016).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2016

    Availability knob: Flexible user-defined availability in the cloud

    Shahrad, M. & Wentzlaff, D., Oct 5 2016, Proceedings of the 7th ACM Symposium on Cloud Computing, SoCC 2016. Diao, Y., Aguilera, M. K., Cooper, B. & Diao, Y. (eds.). Association for Computing Machinery, Inc, p. 42-56 15 p. (Proceedings of the 7th ACM Symposium on Cloud Computing, SoCC 2016).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    15 Scopus citations
  • CASH: Supporting IaaS Customers with a Sub-core Configurable Architecture

    Zhou, Y., Hoffmann, H. & Wentzlaff, D., Aug 24 2016, Proceedings - 2016 43rd International Symposium on Computer Architecture, ISCA 2016. Institute of Electrical and Electronics Engineers Inc., p. 682-694 13 p. 7551432. (Proceedings - 2016 43rd International Symposium on Computer Architecture, ISCA 2016).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    27 Scopus citations
  • MITTS: Memory Inter-arrival Time Traffic Shaping

    Zhou, Y. & Wentzlaff, D., Aug 24 2016, Proceedings - 2016 43rd International Symposium on Computer Architecture, ISCA 2016. Institute of Electrical and Electronics Engineers Inc., p. 532-544 13 p. 7551420. (Proceedings - 2016 43rd International Symposium on Computer Architecture, ISCA 2016).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    32 Scopus citations
  • OpenPiton: An open source manycore research framework

    Balkind, J., McKeown, M., Fu, Y., Nguyen, T., Zhou, Y., Lavrov, A., Shahrad, M., Fuchs, A., Payne, S., Liang, X., Matl, M. & Wentzlaff, D., Mar 25 2016, ASPLOS 2016 - 21st International Conference on Architectural Support for Programming Languages and Operating Systems. Association for Computing Machinery, p. 217-232 16 p. (International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS; vol. 02-06-April-2016).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    61 Scopus citations
  • 2015

    Coherence domain restriction on large scale systems

    Fu, Y., Nguyen, T. M. & Wentzlaff, D., Dec 5 2015, Proceedings - 48th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2015. IEEE Computer Society, p. 686-698 13 p. (Proceedings of the Annual International Symposium on Microarchitecture, MICRO; vol. 05-09-December-2015).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    30 Scopus citations
  • MORC: A manycore-oriented compressed cache

    Nguyen, T. M. & Wentzlaff, D., Dec 5 2015, Proceedings - 48th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2015. IEEE Computer Society, p. 76-88 13 p. (Proceedings of the Annual International Symposium on Microarchitecture, MICRO; vol. 05-09-December-2015).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    18 Scopus citations
  • 2014

    PriME: A parallel and distributed simulator for thousand-core chips

    Fu, Y. & Wentzlaff, D., 2014, ISPASS 2014 - IEEE International Symposium on Performance Analysis of Systems and Software. IEEE Computer Society, p. 116-125 10 p. 6844467. (ISPASS 2014 - IEEE International Symposium on Performance Analysis of Systems and Software).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    20 Scopus citations
  • The Sharing Architecture: Sub-core configurability for IaaS Clouds

    Zhou, Y. & Wentzlaff, D., 2014, ASPLOS 2014 - 19th International Conference on Architectural Support for Programming Languages and Operating Systems. p. 559-574 16 p. (International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    20 Scopus citations
  • 2012

    Configurable fine-grain protection for multicore processor virtualization

    Wentzlaff, D., Jackson, C. J., Griffin, P. & Agarwal, A., 2012, 2012 39th Annual International Symposium on Computer Architecture, ISCA 2012. p. 464-475 12 p. 6237040. (Proceedings - International Symposium on Computer Architecture).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    8 Scopus citations
  • The case for elastic operating system services in fos

    Youseff, L., Beckmann, N., Kasture, H., Gruenwald, C., Wentzlaff, D. & Agarwal, A., 2012, Proceedings of the 49th Annual Design Automation Conference, DAC '12. p. 265-270 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    1 Scopus citations
  • 2010

    An operating system for multicore and clouds: Mechanisms and implementation

    Wentzlaff, D., Gruenwald, C., Beckmann, N., Modzelewski, K., Belay, A., Youseff, L., Miller, J. & Agarwal, A., 2010, Proceedings of the 1st ACM Symposium on Cloud Computing, SoCC '10. p. 3-14 12 p. (Proceedings of the 1st ACM Symposium on Cloud Computing, SoCC '10).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    59 Scopus citations
  • Remote store programming: A memory model for embedded multicore

    Hoffmann, H., Wentzlaff, D. & Agarwal, A., 2010, High Performance Embedded Architectures and Compilers - 5th International Conference, HiPEAC 2010, Proceedings. p. 3-17 15 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 5952 LNCS).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    15 Scopus citations
  • 2008

    TILE64™ processor: A 64-core SoC with mesh interconnect

    Bell, S., Edwards, B., Amann, J., Conlin, R., Joyce, K., Leung, V., MacKay, J., Reif, M., Bao, L., Brown, J., Mattina, M., Miao, C. C., Ramey, C., Wentzlaff, D., Anderson, W., Berger, E., Fairbanks, N., Khan, D., Montenegro, F., Stickney, J., & 1 othersZook, J., 2008, 2008 IEEE International Solid State Circuits Conference - Digest of Technical Papers, ISSCC. Institute of Electrical and Electronics Engineers Inc., p. 87-89 3 p. 4523070. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 51).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    206 Scopus citations
  • 2006

    Constructing virtual architectures on a tiled processor

    Wentzlaff, D. & Agarwal, A., 2006, Proceedings of the CGO 2006 - The 4th International Symposium on Code Generation and Optimization. p. 173-184 12 p. 1611539. (Proceedings of the CGO 2006 - The 4th International Symposium on Code Generation and Optimization).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    5 Scopus citations
  • 2004

    A quantitative comparison of reconfigurable, tiled, and conventional architectures on bit-level computation

    Wentzlaff, D. & Agarwal, A., 2004, Proceedings - 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, FCCM 2004. Arnold, J. & Pocek, K. L. (eds.). p. 289-290 2 p. (Proceedings - 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, FCCM 2004).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    5 Scopus citations